新闻  |   论坛  |   博客  |   在线研讨会
基于FPGA的步进电机驱动及自动聚焦的实现——AET/2008 34(5)
canso | 2009-02-19 10:53:00    阅读:985   发布文章

  基于Xilinx公司的Spartan-IIE系列FPGA XC2S300E-6-PQ208C完成了一种聚焦马达驱动及自动聚焦的完整设计.该设计接收CCD图像传感器套件输出的图像信号,采用一种改进的灰度差分法作为聚焦评价函数,即将一场图像的所有像素的亮度值与周围像素的亮度值差的绝对值的和作为聚焦评价函数,根据相邻同场的聚焦评价函数的比较结果,配合相应的搜索策略来控制步进电机的转动方向,实现图像的自动聚焦.实际实现的聚焦效果优于改进前的聚焦算法.


ba于FPGA的步进电机驱动及自动聚焦的实现.pdf

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
推荐文章
最近访客